二分频实际就是占空比为50%,十进制计数实际意思就是模为10,只需要在从0计数到9的的时候电平翻转一次就ok了。很简单的。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenpin10 is
port(clk,reset:in std_logic;
clkout:out std_logic);
end fenpin10;
architecture one of fenpin10 is
signal count:use ieee.std_logic_vector(3 downto 0);
begin
process(clk)
begin
if(clk'event and clk='1' )then
if(reset='1') then count<="0000";
else
count<=count+'1';
end if;
if (count=9) then
count<="0000";
clkout<=NOT clkout;
end if;
end if;
end process;
end one;
这是我现写出来的,你有什么不会的再问我。 不知道你原题是什么样子的,你可以吧原题贴出来看看。。。
我也写过一个动态显示的完整的程序,也验证过,要的话说下,当然我也可以和你共同讨论