如何用32765或32768晶振分频成1hz的信号

2024-12-17 14:22:29
推荐回答(2个)
回答1:

最简单有效的方式是用PLL去做,要调整好m和n的值,具体的情况自己再去查一下PLL相关的资料就好.
不过为什么要用32.768K去分1Hz这么小的频率呢?
如果电脑的话,南桥或者Super I/O的GPIO能实现输出1Hz
用单片机的话,部分单片机也有内建这个function

回答2:

vhdl 特别好做

signal count:std_logic_vector(13 downto 0);
signal clkout:std_logic;

process(clk)
begin
if rising_edge(clk) then
count<=count+1;
if count=16383 then
count<=(others=>'0');
clkout<=not clkout;
end if;
end if;
end process;

大体就是这么个思路。我顺手写的,没有调试。